Show pagesource Old revisions

Using Vivado-HLS for Structural Design: a NoC Case Study

There have been ample successful examples of applying Xilinx Vivado's “function-to-module” high-level synthesis (HLS) where the subject is algorithmic in nature. In CONNECT-HLS project, we carried out a design study to assess the effectiveness of applying Vivado-HLS in structural design, where precise bit- and cycle- level control is a must. We succeeded in using Vivado-HLS to produce router and NoC modules that are exact cycle- and bit-accurate replacements of our reference CONNECT RTL-based router and NoC modules. The routers and NoCs resulting from HLS and RTL are comparable in resource utilization and critical path delay. Our experience subjectively suggests that HLS is able to simplify the design effort even though much of the structural details had to be provided in the HLS description through a combination of coding discipline and explicit pragmas.

For more details, please see our technical report, poster and source code below. Please contact Zhipeng Zhao at zzhao1@andrew.cmu.edu for any questions or bug reports.

Technical Report and Poster


Using Vivado-HLS for Structural Design: a NoC Case Study
Poster

Source Code


We provide the C++ source code for both illustrative examples in technical report and a fully parameterized router. The code is only intended for internal, non-commercial research use and released under MIT License.

Enter your first and last name
Enter your organization
Where to send you the files?

 
Back to top
connect-hls.txt · Last modified: 2017/10/31 00:30 by zzhao1
 
 
CC Attribution-Noncommercial-Share Alike 4.0 International
chimeric.de = chi`s home Valid CSS Driven by DokuWiki do yourself a favour and use a real browser - get firefox!! Recent changes RSS feed Valid XHTML 1.0