CSSI
CSSI

CSSI Faculty

Xin Li, PhD

Xin Li, PhD

ECE Directory | Personal

Research Areas:

Circuits, Emerging

Representative Publications

• Xin Li and Hongzhou Liu, "Statistical regression for efficient high-dimensional modeling of analog and mixed-signal performance variations," IEEE/ACM Design Automation Conference (DAC), 2008.

•Xin Li, Padmini Gopalakrishnan, Yang Xu and Lawrence Pileggi, "Robust analog/RF circuit design with projection-based performance modeling," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 26, no. 1, pp. 2-15, Jan. 2007.

•Xin Li, Jiayong Le, Padmini Gopalakrishnan and Lawrence Pileggi, "Asymptotic probability extraction for nonnormal performance distributions," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 26, no. 1, pp. 16-37, Jan. 2007.

• Xin Li, Peng Li and Lawrence Pileggi, "Parameterized interconnect order reduction with explicit-and-implicit multi-parameter moment matching for inter/intra-die variations," IEEE/ACM International Conference on Computer Aided Design (ICCAD), pp. 806-812, 2005.

•Xin Li, Jiayong Le, Mustafa Celik and Lawrence Pileggi, "Defining statistical sensitivity for timing optimization of logic circuits with large-scale process and environmental variations," IEEE/ACM International Conference on Computer Aided Design (ICCAD), pp. 844-851, 2005.