List of Eligible Papers for the Micro Test of Time Award 2014
Call for Nominations (Deadline: September 15, 2014): MICRO Test of Time Award 2014
Which MICRO? Year Paper Title Authors
micro1  1968  The growth of interest in microprogramming   M. V. Wilkes
micro1  1968  Tradeoffs for when and how to use microprogramming   A. Tonik
micro1  1968  A higher-order language for describing microprogramming   Y. Chu
micro1  1968  Micro-program memory technology   G. Y. Wang
micro1  1968  User microprogrammable computers   C. V. Ramaoorthy
micro1  1968  Implications of dynamically changeable microprogram memory   L. L. Rakoczi
micro1  1968  Experimental list-type micro-code assembler   G. E. Hoernes, L. Hellerman
micro1  1968  Microprogramming design aid system (MIDAS)   J. R. Vollbrecht
micro1  1968  Developement of a microprogramming system for the H4200   G. Hoff
micro1  1968  Microprogram - micro-operation transformations   E. Stabler
micro1  1968  The use of microprogramming in a course in computer operating system   G. S. Badger
micro1  1968  Microprogram control design and simulation system   H. C. Forsdick, Dr. R. Merwin
micro1  1968  The use of microprogramming to enhance machine performance of a time-sharing programming system   P.R. DesJardins
micro1  1968  Microprogramming of data logging and data reducing equipment   D. Boyle
micro1  1968  Emulating the 7904 on 360/85   C.L. Mathis
micro1  1968  A report on the use of microprogramming for the Illiac III image processor   R. T. Borovec
micro1  1968  Microprogramming to support FORTRAN functions   B. Caruthers
micro1  1968  Microprogrammed higher level language computers   H. Lawson
micro5  1972  Initialization of microprogrammed machines   Helmut Painke
micro5  1972  Optimal selection of functional components for microprogrammable central processing units   David Hinshaw, Keki B. Irani
micro5  1972  A microprogrammed implementation of a block structured architecture   Michael J. Lutz, Michael J. Manthey
micro5  1972  Microprogrammed implementation of computer measurement techniques   Harry J. Saal, Leonard J. Shustek
micro5  1972  An annotated bibliography on microprogramming: late 1969 -- early 1972   Louise H. Jones, Kenneth Carvin, Janet Hauser, Paul Herrmann, Frank Pehrson, Harold Reksten, Patricia Van Name
micro5  1972  The use of a writable control memory in a multiprogramming environment   Maurice V. Wilkes
micro5  1972  A standardized microprogram sequencing control with a push down storage   Gérard L. M. NOGUEZ
micro5  1972  Microprogramming as a technique to minimize card types in high performance machine design   Charles M. Chu, Carl L. Olds
micro5  1972  Microprogramming with statements of higher-level languages   Helmut Berndt
micro5  1972  The L-machine: a computer instruction set for the efficient execution of high-level language programs   Bradford W. Wade, V. B. Schneider
micro5  1972  Ternary computers: part I: motivation for ternary computers   G. Frieder
micro5  1972  Ternary computers: part 2: emulation of a ternary computer   G. Frieder, C. Luk
micro5  1972  ISPMET: a study in automatic emulator generation   D. F. Goessling, J. F. McDonald
micro5  1972  A technique for micro-simulation the software "machine"   M. Belsole, D. Sarchet
micro6  1973  Correctness and equivalence of straight line microprograms   C. V. Ramamoorthy, K. S. Shankar
micro6  1973  Main memory for user microprogram residence - an analysis   Richard T. Thomas
micro6  1973  A microprogramming language for the B-1726   D. J. DeWitt, M. S. Schlansker, D. E. Atkins
micro6  1973  Controlled graphs and instructions   Guy Boulaye, Louise Jones
micro6  1973  Three ECL designs for microprogrammable Writable Control Stores   J. F. McDonald, R. Harris, J. Sustman
micro6  1973  Asynchronous network of specific microprocessors   François Dromard, Gérard Noguez
micro6  1973  An APL microprogramming structure   Stanley M. Nissen, Steven J. Wallach
micro6  1973  Architectural flexibility in microprogramming   Piero A. Biga, Enrico C. Grillo, A. E. Werbrouck
micro6  1973  Organizing computer systems for learnability and useability   Paul Constantine Anagnostopoulos
micro6  1973  Experiences in asynchrony   Jean Suchard
micro6  1973  Microprogramming in large scale architecture   J. R. Douglas
micro6  1973  A microprogrammed data communications procedure controller   D. Dromard, O. Gibergues
micro6  1973  Memory utilization for a dynamically microprogrammed computer   Paul D. Stigall
micro6  1973  The heuristic synthesis of applications-oriented microcode   A. M. Abd-alla, D. C. Karlgaard
micro6  1973  Recursive microprogramming in a syntax recognizer   Yaohan Chu
micro6  1973  Name resolutions using a microprogrammed interpretive technique   Stanley Habib
micro6  1973  PRIME—a processor design for character recognition   M J Kimmel
micro6  1973  An interactive debugger for software and firmware   Morrie Gasser
micro6  1973  Microdiagnostics for minicomputers   Michael Andrews
micro6  1973  A micro controlled peripheral processor   E. T. Barron, R. M. Glorioso
micro6  1973  A microprogrammable display processor concept   Reiner W. Hartenstein, Klaus D. Mueller
micro6  1973  Microprogrammed multiprocessor graphic controller   André Bernardy
micro6  1973  The application of programming language techniques to the design and development of microprogramming languages   Ashok K. Agrawala, Tomlinson G. Rauscher
micro6  1973  A higher level language for micro-programming   C. V. Ramamoorthy, M. Tabandeh, M. Tsuchiya
micro6  1973  Design of a microprogramming language   Gérard L.M. Noguez
micro6  1973  FORTRAN enhancement   Han Park
micro6  1973  Development of courses in microprogramming   Masahiro Tsuchiya
micro6  1973  Microprogramming in the educational environment   Larry L. Wear
micro6  1973  Teaching basic computer organization through "microprogramming"   Miriam R. Tausner
micro6  1973  Architecture trade-offs in programmable signal processing   John C. Murtha
micro6  1973  The SPS-41 and SPS-81 programmable digital signal processors   Joseph R. Fisher
micro6  1973  Pipelined microprogrammed control of a real-time signal processor   D. D. Lynch, L. W. Tower
micro6  1973  Micro emulation: When to do it and when not to do it   Lee Hoevel
micro7  1974  LSI microprocessors and microprograms for user-oriented machines   Imsong Lee
micro7  1974  BLAISE - 1726   Richard Belgard
micro7  1974  Execution time (and memory) optimization in microprograms   M. Tabandeh, C. V. Ramamoorthy
micro7  1974  Structured microprogramming   Clay McFarland
micro7  1974  Instruction decomposition and microprogramming by exception in a generalized processor   Jerry Dillion
micro7  1974  Applications of a microprogrammed microprocessor   A. J. Nichols
micro7  1974  A probabilistic model for the evaluation of microprogram performance   John Tartar, Subrata Dasgupta
micro7  1974  Dynamic instruction set evaluation   Sanford K.S. Ma, Larry L. Wear
micro7  1974  An integrated approach to the design of fault tolerant computing systems.   L. Philip Caillouet, Jr., Bruce D. Shriver, Sr.
micro7  1974  Instruction tracing via microprogramming   Dan H. Barnes, Larry L. Wear
micro7  1974  System description of the JHU emulation laboratory   Charles Neuhauser
micro7  1974  A low-cost host processor for emulation research   Robert M. McClure
micro7  1974  A two level microprogram simulator   R. Petzold, L. Richter, H. P. Röhrs
micro7  1974  Microprogramming of a burst structure   G. M. Foujoulat
micro7  1974  Micro control hardware and high level languages interpretor: An attempt of macro supported by firmware   J. Demarteau
micro7  1974  Microprogramming concepts - a step towards structured hardware design   Reiner W. Hartenstein
micro7  1974  Approaches to design of high level languages for microprogramming   Patrick W. Mallett, T. G. Lewis
micro7  1974  Microprogrammed subprocessors for compilation and execution of high-level languages   Peter Moulton
micro7  1974  Microprogrammed enhancements to higher level languages - an overview   Stanley Habib
micro7  1974  An approach to optimization of horizontal microprograms   M. Tsuchiya, M. J. Gonzalez, Jr.
micro7  1974  A survey of techniques to reduce/minimize the control part/rom of a microprogrammed digital computer   Tilak Agerwala
micro7  1974  On storage optimization of horizontal microprograms   S. S. Yau, A. C. Schowe, M. Tsuchiya
micro7  1974  A representation for the analysis of microprogram operation   Richard L. Kleir
micro7  1974  A virtual memory system for the Hewlett-Packard 2100A   W. Gary Sitton, Larry L. Wear
micro7  1974  A microprogrammed storage management system for a paged stack machine   P. Macres, G. F. Coulouris
micro7  1974  Design of a microprogrammed alphanumeric terminal   F. Dromard
micro7  1974  Application of microprogramming to medium scale computer design   John L. Wilkes
micro7  1974  Emulation of the IBM system/360 on a microprogrammable computer   George R. Trimble, Jr.
micro7  1974  Microprogramming and its relationship to emulation and technology   Samuel H. Fuller, Victor R. Lesser, C. Gordon Bell, Charles Kaman
micro7  1974  Emulation of computer networks by microprogrammable microcomputers   David Cohen, Ming T. Liu
micro7  1974  User microprogrammability in the HP-21MX minicomputer   W. Gordon Matheson
micro7  1974  Cellular arrays for asynchronous control   Suhas S. Patil
micro7  1974  Modularity and multi-microprocessor structures   D. P. Siewiorek
micro7  1974  Some observations on modular design technology and the use of microprogramming   D. P. Siewiorek
micro7  1974  MIKADO - a system for computer aided microprogram design   Wilfried Rottmann
micro7  1974  Microprogramming: A step of a top-down design methodology   J. P. Schoellkopf
micro7  1974  Software aids for microprogram development   Christopher Vickery
micro7  1974  The development of user microprogramming: A survey and status report   Richard T. Thomas
micro7  1974  Design of assembly level language for horizontal encoded microprogrammed control unit   R. H. Evans, L. H. Moffett, R. E. Merwin
micro7  1974  Some correctness principles for machine language programs and microprograms   W. D. Maurer
micro7  1974  Procedure for testing microprograms   W. G. Bouricius
micro7  1974  Microcode implemented General Modular Redundancy   F. P. Mathur, P. T. de Sousa
micro7  1974  Microprogrammed significance arithmetic with tapered floating point representation   Clement Luk
micro7  1974  A firmware organization for minimal error evaluation in numerical computations   S. S. Hyder, V. Ung, J. Vignes
micro7  1974  Microprogrammed operations for a three-value logic simulator   Stephen L. Squires
micro7  1974  Teaching microprogramming(Panel Discussion)   Larry L. Wear, Louise H. Jones, Earl W. Reigel, W. Gary Sitton, Masahiro Tsuchiya
micro7  1974  Designing HMO, an integrated hardware microcode optimizer   James O. Bondi, Paul D. Stigall
micro7  1974  Microinstruction sequencing and structured microprogramming   Louise H. Jones
micro7  1974  The Microprogrammable Multi-Processor (MMP) system for simultaneous emulation of interoperating computer systems   Roy Mattson, Alan Salisbury
micro7  1974  On improving operating system efficency through use of a microprogrammed, low-level environment   George W. Cox, Victor B. Schneider
micro7  1974  A multi-microprocessor design   Helmut Berndt
micro7  1974  Languages for direct execution   Lee W. Hoevel
micro8  1975  A network of dynamically microprogrammable machines   Scott Davidson
micro8  1975  Some classical mathematical results related to the problems of the firmware/hardware interface   T. C. Wesselkamper
micro8  1975  On balancing hardware-firmware for designing a fault-tolerant computers' series   B. Courtois, G. Saucier
micro8  1975  A Control Word Model for detecting conflicts between microprograms   David J. DeWitt
micro8  1975  The design of a system for the synthesis of correct microprograms   David A. Patterson
micro8  1975  An algorithm for control memory minimization   M. Tsuchiya, T. Jacobson
micro8  1975  Design of a microprogrammed lexical microprocessor   Yaohan Chu
micro8  1975  Bell Labs microcode for the IBM 360/67   S. M. Bauer
micro8  1975  Significance, benefits and pitfalls of microprogramming (Panel Session)   Bruce D. Shriver, John Ellenby, Gideon Frieder, Robert F. Rosin, Wayne Wilner
micro8  1975  Placement of microinstructions in a two-dimensional address space   John F. Wakerly, Clifford R. Hollander, Daniel Davies
micro8  1975  A versatile microprogram sequencer   Vernon Coleman, Krishna Rallapalli
micro8  1975  Am2900 Bipolar Microprocessor family   John R. Mick
micro8  1975  A powerful microprogram control unit - the 6700   Clive Ghest
micro8  1975  A microinstruction sequencer and language package for structured microprogramming   David R. Hawk
micro8  1975  A control strategy for small computer systems   Harold W Lawson, Jr.
micro8  1975  Fitting processors to the needs of a General Purpose Array (EGPA)   Wolfgang Händler, Rainer Klar
micro9  1976  A bit slice architecture for microprogrammable machines   Michael Andrews
micro9  1976  Certification of microprograms by an algebraic method   A. Blikle, S. Budkowski
micro9  1976  Microprogrammed implementation of a scheduler   R. Chattergy
micro9  1976  An insight into PDP-11 emulation   J. C. Demco, T. A. Marsland
micro9  1976  Design problems in emulating the MIX computer on the Microdata 1600   T. Don Dennis, O. G. Johnson
micro9  1976  Extensibility - a new approach for designing machine independent microprogramming languages   David J. DeWitt
micro9  1976  Realizing a virtual machine   Brian Forbes, Tom Weidner, Ron Yoder, Tony Pitchford
micro9  1976  The FORTRAN project - a multifaceted approach to software-firmware high level language support   Gideon Frieder
micro9  1976  Automated proofs of microprogram correctness   W. H. Joyner, Jr., W. C. Carter, G. B. Leeman, Jr.
micro9  1976  A microprogrammed machine architecture for efficient matrix multiplication   Robert W. Nowlin, Donald Gustafson
micro10  1977  The PRIM system: An alternative architecture for emulator development and use   Joel Goldberg, Alvin Cooperband, Louis Gallenson
micro10  1977  EASY—an operating system for the QM-1   Charles W. Flink, II
micro10  1977  MBALM/1700: A microprogrammed LISP machine for the Burroughs B1726   M. L. Griss, M. R. Swanson
micro10  1977  An analysis of code density for the two level programmable control of the Nanodata QM-1   Gideon Frieder, Jill Miller
micro10  1977  The implementation of a user-extensible system on a dynamically microprogrammable computer   Fergus K. Fung, Willis K. King
micro10  1977  A microprogrammed implementation of an architecture simulation language   William C. Hopkins, Gary Davidian
micro10  1977  Microprogram structures for high level language elements   Gerhard Zimmermann
micro10  1977  Dynamic microprogramming in a time sharing environment   Ratan K. Guha
micro10  1977  Microbe: A self commenting microassembler   Ben A. Laws, Jr.
micro10  1977  The Burroughs B1800 microprogrammed measurement system: A hybrid hardware/software approach   W. Michael Denny
micro10  1977  A microprogrammed keyword transformation unit for a database computer   Krishnamurthi Kannan, David K. Hsiao, Douglas S. Kerr
micro10  1977  Microprogrammed control for signal processing   Earl E. Swartzlander, Jr.
micro10  1977  Algorithms for a self-tuning microprogrammed computer   K. A. El-Ayat, J. A. Howard
micro10  1977  An approach to microprogram optimization considering resource occupancy and instruction formats   Mario Tokoro, Eiji Tamura, Kazuhiko Takase, Kiichiro Tamaru
micro10  1977  MICROSIM: A microinstruction simulator for teaching microprogramming and emulation   Francis P. Mathur
micro10  1977  Operating system enhancement through firmware   George E. Brown, Richard Eckhouse, Jr., Jay Estabrook
micro11  1978  Our machine, a microcoded LSI processor   Dave Johannsen
micro11  1978  Microprogrammed implementation of a single chip microprocessor   Skip Stritter, Nick Tredennick
micro11  1978  Improving the performance of interpretive hierarchies by the introduction of local hardware   Michael J. Manthey
micro11  1978  EMMY/360: An emulation of System/360 for the Stanford EMMY   Walter A. Wallach, Jr.
micro11  1978  The LASS hardware processor   Paul F. Kunz, Richard N. Fall,  Michael F., Hanoch Brafman
micro11  1978  MICOS: A microprogrammed hierarchical operating system nucleus and its performance comparison   Walter H. Burkhardt, Helmut E. Maier
micro11  1978  Implementation of high speed data sets with microprogrammable data processors   Glenn N. Caplin, Anne R. Clayton, Richard L. Stuart
micro11  1978  Proposal on efficient address allocation algorithm for horizontal microprograms   Tadashi Tanaka, Tadamichi Kawada, Teiji Emori
micro11  1978  A technique of global optimization of microprograms   Mario Tokoro, Takashi Takizuka, Eiji Tamura, Ichiro Yamaura
micro11  1978  On the packing of micro-operations into micro-instruction words   Graham Wood
micro11  1978  A comparison of the code space and execution time required for FORTRAN assignment statements on six computer architectures   Richard A. Belgard, Victor B. Schneider
micro11  1978  Design considerations for a QM-1 based multimicroprocessor emulation system   Steve Crocker
micro11  1978  Design of an emulator oriented microprogrammable computer   Jin W. Soh, Ron Marko
micro11  1978  Levels of representation of programs and the architecture of universal host machines   B. Ramakrishna Rau
micro11  1978  Experience with an interactive basic machine implemented using the Burroughs B1700   John M. Hemphill, Richard T. Thomas
micro11  1978  PASC-HLL: An experience in design techniques for firmware and the supporting hardware   J. P. Schoellkopf
micro11  1978  A methodology for programming a pipeline array processor   Danny Cohen
micro11  1978  Microprogrammed spectrum analysis   Timothy J. Mulrooney
micro11  1978  A micro signal processing module   David M. Bell, Larry E. Hand
micro11  1978  Programming a microcoded processor for speech waveform generation   Gary E. Kopec, Glen S. Miranker
micro11  1978  Automatic synthesis of microcontrollers   Andrew W. Nagle
micro11  1978  Verification of the FTSC microprogram   Dono van-Mierop, Leo Marcus, Steve Crocker
micro11  1978  Firmware versus software verification   S. Budkowski, P. Dembinski
micro11  1978  Experience with a microprogrammed Interlisp system   L. Peter Deutsch
micro11  1978  REDUCE/1700: A micro-coded Algebra system   Martin L. Griss, Robert R. Kessler
micro11  1978  An introduction to the verification oriented microprogramming language "MIDDLE"   P. Dembinski, S. Budkowski
micro11  1978  Towards a microprogramming language schema   Subrata Dasgupta
micro11  1978  Design objectives for high level microprogramming languages   Kamran Malik, Ted Lewis
micro12  1979  Global optimization of microprograms through modular control constructs   Graham Wood
micro12  1979  Hierarchical microprogram generating system   Eiji Tamura, Mario Tokoro
micro12  1979  Towards an efficient, machine-independent language for microprogramming   David A. Patterson, Karl Lew, Richard Tuck
micro12  1979  A medium level compiler generating microcode   Jed B. Marti, Robert R. Kessler
micro12  1979  Design and implementation of a flexible and interactive microprogram simulator   M. Mezzalama, P. Prinetto
micro12  1979  Experience with a high level micromachine simulator   S. Schleimer, W. J. Meyers
micro12  1979  Application of hardware description languages to microprogramming: Method, practice, and limitations   Paul J. Drongowski, Charles W. Rose
micro12  1979  Interactive microprogram validation: A Prime 400 testbed facility   Phillip Crews, Laura Marie Leventhal
micro12  1979  A microcoded tool to sample the software instruction address   C. Edward Armbruster, Jr.
micro12  1979  Hardware and software tools for the development of a micro-programmed microprocessor   James Nash, Mike Spak
micro12  1979  Direct execution of C-string compiler texts   Charles W. Bridges, Abd-Elfattah Mohamed Abd-alla
micro12  1979  Direct architectural implementation of a requirements-oriented computing structure   M. S. Anastas, R. F. Vaughan
micro12  1979  I/O device Emulation in The Stanford Emulation Laboratory   Jerry Huck, Charles Neuhauser
micro12  1979  Virtual I/O, an experiment   R. L. Hartung, A. Ammerman
micro13  1980  On fundamentals of computer-aided design of firmware   Igor Hansen, Jacek Leszczylowski
micro13  1980  Heuristics for the global optimization of microprograms   Michael D. Poe
micro13  1980  Algorithm implementation on specific microprogrammed structure   D. Dromard, F. Dromard
micro13  1980  Some studies on microprogram optimization   Pradip K. Srimani, Bhabani P. Sinha
micro13  1980  The derivation of microcode by symbolic execution   John Wade Ulrich
micro13  1980  Formalization and automated synthesis of microprograms   R. A. Mueller
micro13  1980  The role of software tools in the development of the ECLIPSE® MV/8000 microcode   Neal R. Firth
micro13  1980  An implementation of microdiagnostics on the ECLIPSE® MV/8000   Paul Reilly, Elizabeth Shanahan, Steven Staudaher
micro13  1980  2n-way jump microinstruction hardware and an effective instruction binding method   Joseph A. Fisher
micro13  1980  Performance evaluation and improvement of a dynamically microprogrammable computer with low-level parallelism   Shinji Tomita, Kiyoshi Shibayama, Toshiaki Kitamura, Hiroshi Hagiwara
micro13  1980  The micro-architecture of the ECLIPSE® MV/8000: Conception and implementation   Jonathan S. Blau, Charles J. Holland, David L. Keating
micro13  1980  The ECLIPSE® MV/8000 Microsequencer   David I. Epstein
micro13  1980  Design of a user-microprogrammable building block   Michael Kraley, Randall Rettberg, Philip Herman, Robert Bressler, Anthony Lake
micro13  1980  Compressing control ROM for VLSI microprogrammed microprocessors   Karl M. Guttag
micro13  1980  The economics of programmable system components   Harvey G. Cragon
micro13  1980  The big three - today's 16-bit microprocessor   R. K. Bell, W. D. Bell, T. C. Cooper, T. K. McFarland
micro13  1980  A survey of high level microprogramming languages   Marleen Sint
micro13  1980  A data abstraction language based on microprogramming   R. Kurki-Suonio, J. Heinänen
micro13  1980  Design of a microcode link editor   W. J. Meyers
micro13  1980  High level language programs run ten times faster in microstore   Henry G. Baker, Jr., Clinton Parker
micro13  1980  A meta-assembler for highly-parallel microprogrammable systems   Gilbert R. Berglass
micro13  1980  IBM 4341 hardware/microcode trade-off decisions   James R. Kleinsteiber
micro14  1981  Hardware microcontrol schemes using PLAs   Christos A. Papachristou
micro14  1981  Contrasting translation, verification and synthesis in software and firmware engineering   Robert A. Mueller, Gearold R. Johnson
micro14  1981  Two implementations of the 'FLEX' machine   John Kershaw
micro14  1981  The use of a meta-assembler to design an M code interpreter on AMD2900 chips   Stanley Habib, Xue-Liang Yang
micro14  1981  Adaptation and personalization of VLSI-based computer architecture   Chiaki Ishikawa, Ken Sakamura, Mamoru Maekawa
micro14  1981  Toward user sharing of the microprogramming level under UNIX on the Perkin-Elmer 3220   J. Eric Roskos, Robert I. Winner
micro14  1981  Development tools for user microprogramming   Steven Geyer, Anthony Lake
micro14  1981  The Micro8 microcode assembler   Kenneth F. Greenberg
micro14  1981  MIDAS—microprogram description and analysis system   Igor Hansen
micro14  1981  Issues of the design of a low level microprogramming language for global microcode compaction   Michael D. Poe, Ross Goodell, Simon Steely, Jr.
micro14  1981  MIDL - a microinstruction description language   Marleen Sint
micro14  1981  MicroTAL - a machine-dependent, high-level microprogramming language   Joel F. Bartlett
micro14  1981  A retargetable microcode generation system for a high-level microprogramming language   Peter Marwedel
micro14  1981  S*(QM-1): An instantiation of the high level microprogramming language scheme S* for the nanodata QM-11   Alynn Klassen, Subrata Dasgupta
micro14  1981  High-level microprogramming with APL syntax   Richard F. Hobson, Patrick Hannon, Jonathan Thornburg
micro14  1981  General microprogram width reduction using generator sets   Jorge Francisco Martinez-Carballido, V. Michael Powers
micro14  1981  Automatic microcode generation for horizontally microprogrammed processors   Robert J. Sheraga, John L. Gieser
micro14  1981  Using an oracle to measure potential parallelism in single instruction stream programs   Alexandru Nicolau, Joseph A. Fisher
micro14  1981  Some scheduling techniques and an easily schedulable horizontal architecture for high performance scientific computing   B. R. Rau, C. D. Glaeser
micro14  1981  Considerations for local compaction of nanocode for the nanodata QM-1   Douglas J. Rideout
micro15  1982  Keynote address - the processor instruction set   Maurice V. Wilkes
micro15  1982  The architecture and operational characteristics of the VMX host machine   Gideon Frieder
micro15  1982  MIPS: A microprocessor architecture   John Hennessy, Norman Jouppi, Steven Przybylski, Christopher Rowen, Thomas Gross, Forest Baskett, John Gill
micro15  1982  Microarchitecture description techniques   John L. Gieser, Robert J. Sheraga
micro15  1982  UDSYS a microcode development system   Robert W. Beauchamp, Neal R. Firth
micro15  1982  A microprogramming language-directed microarchitecture   Ronald M. Guffin
micro15  1982  MDS: An improved total system for firmware development   Kazutoshi Takahashi, Etsuo Takahashi, Tatsushige Bito, Toshinori Aoyama, Akihiko Yamada
micro15  1982  Through the video display terminal and what Alice found there   Bruce D. Shriver
micro15  1982  The "cultures" of microprogramming   Nick Tredennick
micro15  1982  Defensive microprogramming   David T. Wang
micro15  1982  Control schemes for VLSI microprocessors   Gary R. Burke
micro15  1982  A VLSI view of microprogrammed system design   Tientien Li
micro15  1982  A microsequencer architecture with firmware support for modular microprogramming   Christos A. Papachristou, Satnam Sing Gambhir
micro15  1982  Optimizing delayed branches   Thomas R. Gross, John L. Hennessy
micro15  1982  Phase coupling and constant generation in an optimizing microcode compiler   Steven R. Vegdahl
micro15  1982  Microcode compaction via microblock definition   M. Mezzalama, P. Prinetto, G. Filippi
micro15  1982  Monte Carlo techniques in code optimization   Dean Jacobs, Jan Prins, Peter Siegel, Kenneth Wilson
micro15  1982  A firmware monitor to support vertical migration decisions in the UNIX operating system   B. Holtkamp, H. Kaestner
micro15  1982  Crossing the machine interface   A. G. Olbert
micro15  1982  Design of concurrently testable microprogrammed control units   Masood Namjoo
micro15  1982  PACE - a microprogram evaluation system   Robert E. Skibbe
micro15  1982  Emulating an MIMD architecture   Su Bogong, Ralph Grishman
micro16  1983  Towards better instruction sets   Michael J. Flynn
micro16  1983  SRDAG compaction: a generalization of trace scheduling to increase the use of global context information   Joseph L. Linn
micro16  1983  Tree compaction of microprograms   Jehkwan Lah, Daniel E. Atkins
micro16  1983  Local and global microcode compaction using reduction operators   Steven G. Henry, Robert A. Mueller, Michael Andrews
micro16  1983  Experimentation with a two-level microprogrammed multiprocessor computer   Takanobu Baba, Katsuhiro Yamazaki, Nobuyuki Hashimoto, Hiroyuki Kanai, Kenzo Okuda, Kazuhiko Hashimoto
micro16  1983  A design approach for a microprogrammed control unit with built in self test   Jordi Duran, Tulin E. Mangir
micro16  1983  Modifications to the VAX-11/780 microarchitecture to support IEEE floating point arithmetic   David B. Aspinwall, Yale N. Patt
micro16  1983  HLLDA defies RISC: thoughts on RISCs, CISCs, and HLLDAs   William C. Hopkins
micro16  1983  A concurrent microprogramming facility   John F. Fedak
micro16  1983  The logic engine development system support for microprogrammed bit-slice development   Franklin Prosser, David Winkel
micro16  1983  M29: an advanced retargetable microcode assembler   Michael J. Eager
micro16  1983  An E-Machine workbench   Gylver Wagnon, Dennis J. W. Maine
micro16  1983  Microprogrammed associative instructions: results and analysis of a case study in vertical migration   B. Albert, A. Bode
micro16  1983  Identification of microprogrammable loops for problem oriented architecture synthesis   H. Shin, M. Malek
micro16  1983  Toward type-oriented dynamic vertical migration   Robert I. Winner, Edward M. Carter
micro16  1983  Improving the performance of UCSD Pascal via microprogramming on the PDP-11/60   Mark T. Schaefer, Yale N. Patt
micro16  1983  Axiomatic proof rules for a machine-specific microprogramming language   Alan Wagner, Subrata Dasgupta
micro16  1983  Flow graph machine models in microcode synthesis   Robert A. Mueller, Joseph Varghese
micro16  1983  MIXER: an expert system for microprogramming   Toru Shimizu, Ken Sakamura
micro16  1983  Experience developing microcode using a high level language   R. Preston Gurd
micro16  1983  Automatic address assignment of horizontal microprograms   Etsuo Takahashi, Kazutoshi Takahashi, Tatsushige Bito, Tohru Sasaki, Kazuyoshi Kitano
micro16  1983  High level microprogramming: current usage, future prospects   Scott Davidson
micro16  1983  On automated design of compacted microprograms   P. Dembinski
micro16  1983  A resource request model for microcode compaction   Tore Larsen, David Landskov, Bruce D. Shriver
micro17  1984  Mapping HLL constructs into microcode for improved execution speed   V. Milutinović, D. Roberts, K. Hwang
micro17  1984  A microcoded multiprocessor crossbar network communications controller   Clifford L. Hall
micro17  1984  MASCO: An academic exercise in computer design using microprogramming   Jack N. Fenner, Jeffery A. Schmidt, Houssam A. Halabi, Dharma P. Agrawal
micro17  1984  Architecture of a VLSI multiple ISA emulator   J. L. Wilkes
micro17  1984  Applications of pipelining to firmware   David M. Proulx
micro17  1984  A chip set microarchitecture for a high-performance VAX implementation   John F. Brown, III, Richard L. Sites
micro17  1984  Software tools used in the development of a VLSI VAX Microcomputer   Robert Gries, James A. Woodward
micro17  1984  Design verification of a VLSI VAX microcomputer   Sridhar Samudrala, Charles Lo, John F. Brown, III, Richard E. Calcagni
micro17  1984  A prototype engineering tester for microcode and hardware debugging   Will Sherwood
micro17  1984  Patchable control store for reduced microcode risk in a VLSI VAX microcomputer   Richard E. Calcagni, Will Sherwood
micro17  1984  An improvement of trace scheduling for global microcode compaction   Bogong Su, Shiyuan Ding, Lan Jin
micro17  1984  Microassembly and area reduction techniques for PLA microcode   Christos A. Papachriston, James M. Reuter
micro17  1984  Compaction of two-level microprograms for a multiprocessor computer   Takanobu Baba, Mitsuru Ikeda, Katsuhiro Yamazaki, Kenzo Okuda
micro17  1984  Improved instruction formation in the exhaustive local microcode compaction algorithm   Richard P. Atkins
micro17  1984  The generation of simulator-based systems for microcode development   C C Charlton, D Jackson, P H Leng
micro17  1984  TDL: A hardware/microcode test language interpreter   Gary Staas
micro17  1984  A "metasimulator" for microcoded processors   J. Eldridge
micro17  1984  An algorithm for selection of migration candidates   B. Holtkamp, P. Wagner
micro17  1984  Migration implementation by integrating microprogramming and HLL programming   Juha-Matti Heimonen, Juha Heinanen
micro17  1984  An automatic migration scheme based on modular microcode and structured firmware sequencing   C. A. Papachristou, V. R. Immaneni, D. B. Sarma
micro17  1984  Transparent microprogramming in support of abstract type oriented dynamic vertical migration   Edward M. Carter, Robert I. Winner
micro17  1984  The implementation of the attributed recursive descent architecture in VAX-11/780 microcode   C. D. Ardoin, J. L. Linn, B. W. Reynolds
micro17  1984  Alternative proposals for implementing Prolog concurrently and implications regarding their respective microarchitectures   Carl G. Ponder, Yale N. Patt
micro17  1984  Sequential Prolog machine: Image and host architectures   Evan Tick
micro17  1984  Design decisions influencing the microarchitecture for a Prolog machine   T. P. Dobry, Y. N. Patt, A. M. Despain
micro17  1984  Microcode verification using SDVS-the method and a case study   Beth Levy
micro17  1984  SDVS: A system for verifying microcode correctness   Leo Marcus, Stephen D. Crocker, Jaisook R. Landauer
micro17  1984  A new universal microprogram converter   Kazutoshi Takahashi, Etsuo Takahashi, Tatsushige Bitoh, Takao Sugimoto
micro17  1984  A retargetable compiler for a high-level microprogramming language   Peter Marwedel
micro17  1984  Global methods in the flow graph approach to retargetable microcode generation   Robert A. Mueller, Joseph Varghese, Vicki H. Allan
micro17  1984  A survey of resource allocation methods in optimizing microcode compilers   Robert A. Mueller, Michael R. Duda, Stephen M. O'Haire
micro17  1984  A model of clocked micro-architectures for firmware engineering and design automation applications   Subrata Dasgupta
micro17  1984  Logic programming applied to hardware design specification and verification   Deepinder P. Sidhu
micro17  1984  An axiomatization of low-level parallelism in microarchitectures   Werner Damm
micro18  1985  Design and specification of microprogrammed computer architectures   W. Damm
micro18  1985  Efficient hardware for multiway jumps and pre-fetches   K. Karplus, A. Nicolau
micro18  1985  A microprogrammable architecture with quasi time-transparent structured control   M. S. Tudruj, R. F. Gajda
micro18  1985  An interactive diagnostic/debugging subsystem for bit-slice processors   F. J. Burkowski
micro18  1985  A practical approach to the evaluation of microcode systems   R. E. Skibbe
micro18  1985  Advances in microcode support software   W. J. Tracz
micro18  1985  Verification of microprogrammed computer architectures in the S*-system: a case study   W. Damm, G. Dohmen
micro18  1985  Compiling Prolog into microcode: a case study using the NCR/32-000   B. Fagin, Y. N. Patt, V. Srini, A. Despain
micro18  1985  The architecture of the hardware unification unit and an implementation   N. S. Woo
micro18  1985  HPS, a new microarchitecture: rationale and introduction   Y. N. Patt, W. M. Hwu, M. Shebanow
micro18  1985  Critical issues regarding HPS, a high performance microarchitecture   Y. N. Patt, S. W. Melvin, W. M. Hwu, M. C. Shebanow
micro18  1985  Hardware acceleration of logic simulation using a data flow microarchitecture   G. Catlin, B. Paseman
micro18  1985  The design of an interactive compiler for optimizing microprograms   S. R. Vegdahl
micro18  1985  Target-independent high-level microprogramming   W. C. Hopkins, M. J. Horton, C. S. Arnold
micro18  1985  Microcode development for microprogrammed processors   J. P-C Hwang, C. A. Papachristou, D. D. Cornett
micro18  1985  STEP development tools: METASTEP language system   D. L. Wilburn, S. Schleimer
micro18  1985  Microcode and the protection of intellectual effort   Y. N. Patt, J. K. Ahlstrom
micro18  1985  Some experiments in global microcode compaction   B. Su, S. Ding
micro18  1985  JAM—just another microsequencer   W. J. Tracz, B. Boesch
micro18  1985  A customized control store design in microprogrammed control units   M. S. Tudruj
micro19  1986  The impact of VLSI on microprogramming   N. Tredennick
micro19  1986  Microcode development tools for a capability-based processor   J. Rosenberg, D. A. Abramson
micro19  1986  MIDETT: Microprogrammed-system design technique and tools   M. Annunziata, L. Lisca, G. R. Sechi
micro19  1986  A development environment for horizontal microcode programs   A. Aiken, A. Nicolau
micro19  1986  A microarchitecture description language for retargeting firmware tools   J. F. Nixon, S. R. Schach, R. I. Winner
micro19  1986  An efficient retargetable microcode generator   M. Balakrishnan, P. C P Bhatt, B. B. Madan
micro19  1986  Algorithmic state machine implementation with hybrid microprocessing/microprogramming scheme   Y. H. Kuo, L. Y. Kung
micro19  1986  The implementation of Prolog via VAX 8600 microcode   J. Gee, S. W. Melvin, Y. N. Patt
micro19  1986  Run-time generation of HPS microinstructions from a VAX instruction stream   Y. N. Patt, S. W. Melvin, W. M. Hwu, M. C. Shebanow, C. Chen
micro19  1986  Data flow graph partitioning to reduce communication cost   C. Koutsougeras, C. A. Papachristou, R. R. Vemuri
micro19  1986  URPR—An extension of URCR for software pipelining   B. Su, S. Ding, J. Xia
micro19  1986  A case study in signal processing microprogramming using the URPR software pipelining technique   R. A. Mueller, B. Su, M. R. Duda, B. L. Plomondon
micro19  1986  The sociology of microprogramming   W. J. Tracz, R. Belgard
micro19  1986  A microcoded RISC   D. K. DuBose, D. K. Fotakis, D. Tabak
micro19  1986  Design and realization of MLM: a multilingual machine   F. M G Franca, N. Q. Vasconcelos, E. S T Fernandes
micro19  1986  The microarchitecture of a capability-based computer   D. A. Abramson, J. Rosenberg
micro19  1986  A dynamic very high-level debugger for low-level microprograms   N. J. Wahl, S. R. Schach, R. I. Winner
micro19  1986  BBMSS: A bit-splice based microprogram simulation system   N. Li, Y. Tang, S. Xu
micro19  1986  Formally based static analysis of microcode   J. M. Foster
micro19  1986  A microcode-based environment for noninvasive performance analysis   S. W. Melvin, Y. N. Patt
micro20  1987  Firmware approach to fast Lisp interpreter   Hiroshi G. Okuno, Nobuyasu Osato, Ikuo Takeuchi
micro20  1987  Distributed microprogramming   Patrick M. Lenders
micro20  1987  Tuning architecture at run-time   E. Luque, J. Sorribes, A. Ripoll
micro20  1987  A visual microprogramming system   Takanobu Baba, Hiroshi Minakawa, Kenzo Okuda
micro20  1987  A computer aided design automation system for developing microprogrammed processors: a design approach through HDLs   W. J. Chen, G. N. Reddy
micro20  1987  Computer architecture simulation using a register transfer language   Thomas Pittman, Lester Bartel
micro20  1987  Extending microcode compaction for real architectures   Mark Harris
micro20  1987  An algorithm for microcode compaction of VHDL behavioral descriptions   J. Bhasker
micro20  1987  Microcode compaction with timing constraints   Bogong Su, Shiyuan Ding, Jian Wang, Jinshi Xia
micro20  1987  A compilation technique for software pipelining of loops with conditional jumps   Kemal Ebcioğlu
micro20  1987  Operation scheduling in reconfigurable, multifunction pipelines   Jack Walicki, John D. Laughlin
micro20  1987  GURPR—a method for global software pipelining   Bogong Su, Shiyuan Ding, Jian Wang, Jinshi Xia
micro20  1987  Compacting MIMOLA microcode   J. Bhasker, Tariq Samad
micro20  1987  Trace scheduling optimization in a retargetable microcode compiler   Michael A. Howland, Robert A. Mueller, Philip H. Sweany
micro20  1987  Phase coupling for horizontal microcode generation   Vicki H. Allan, Robert A. Mueller
micro20  1987  Graph based retargetable microcode compilation in the MIMOLA design system   Lothar Nowak
micro20  1987  On the combination of hardware and software concurrency extraction methods   Augustus K. Uht, Constantine D. Polychronopoulos, John F. Kolen
micro20  1987  A case study in using two-level control stores   Onat Menzilcioglu
micro20  1987  The instruction parsing microarchitecture of the CVAX microprocessor   David W. Archer
micro20  1987  Exploiting horizontal and vertical concurrency via the HPSm microprocessor   Wen-Mei W. Hwu, Yale N. Patt
micro20  1987  On tuning the microarchitecture of an HPS implementation of the VAX   James E. Wilson, Steve Melvin, Michael Shebanow, Wen-mei Hwu, Yale N. Patt
micro20  1987  SPAM: a microcode based tool for tracing operating system events   Stephen W. Melvin, Yale N. Patt
micro21  1988  Evaluation of a concurrent error detection method for microprogrammed control units   A. Bailas, L. L. Kinney
micro21  1988  Modeling the effects of instruction queue loading on a static instruction stream micro-architecture   J. H. Jacobs, A. K. Uht, R. C. Ord
micro21  1988  Trace selection for compiling large C application programs to microcode   P. P. Chang, W. W. Hwu
micro21  1988  Flexible processors: a promising application-specific processor design approach   A. Wolfe, P. Shen
micro21  1988  Implementing a Prolog machine with multiple functional units   A. Singhal, Y. N. Patt
micro21  1988  The trap as a control flow mechanism   J. A. Chandross, H. V. Jagadish, A. Asthana
micro21  1988  A microcoded real-time executive for numeric support nodes distributed within embedded networks   J. O. Bondi
micro21  1988  Design of a testable RISC-to-CISC control architecture   Y. K. Malaiya, S. Feng
micro21  1988  Hardware support for large atomic units in dynamically scheduled machines   S. W. Melvin, M. C. Shebanow, Y. N. Patt
micro21  1988  Multiple instruction issue and single-chip processors   A. R. Pleszkun, G. S. Sohi
micro21  1988  On approximation algorithms for microcode bit minimization   S. S. Ravi, D. Gu
micro21  1988  Mapping of micro data flow computations on parallel microarchitectures   L. Shih, C. A. Papachristou
micro21  1988  A high-speed hardware unit for a subset of logic resolution   D. Wong
micro21  1988  Control store implementation of a high performance VLSI CISC   J. H. Chang, H. H. Chao, K. Lewis, M. Holland
micro21  1988  Efficient macro-code emulation in hardwired pipelined processors   J. M. Mulder, R. J. Portier, A. Srivastava, R. in't Velt
micro21  1988  Data dependency graph bracing   V. H. Allan
micro21  1988  A new rapid prototyping firmware (RPF) tool   M. Andrews, F. Lam
micro21  1988  Organization of array data for concurrent memory access   M. Breternitz, Jr., J. P. Shen
micro21  1988  Microarchitecture modelling through ADL   E. S.T. Fernandes
micro21  1988  A data-flow driven resource allocation in a retargetable microcode compiler   H. Feuerhahn
micro21  1988  A microprogramming support tool for pipelined architectures   S. Molnar, M. C. Surles
micro21  1988  Lazy data routing and greedy scheduling for application-specific signal processors   K. Rimey, P. N. Hilfinger
micro21  1988  Global microcode compaction under timing constraints   B. Su, J. Wang, J. Xia
micro21  1988  Microprogramming in multiprocessor data acquisition system   S. D'Angelo, L. Lisca, A. Proserpio, G. R. Sechi
micro21  1988  The proposal of a computing model for prototypes of microprogrammed machines solving complex problems   E. Binaghi, G. Pasi, G. R. Sechi
micro22  1989  A microprogrammed interpreter for concurrent euclid   K. Lall, J. W. Atwood
micro22  1989  Functional languages in microcode compilers   S. J. Allan
micro22  1989  Design and performance measurements of a parallel machine for the unification algorithm   F. N. Sibai, L. Watson, M. Lu
micro22  1989  A direct execution architecture for Prolog?   M. Brady
micro22  1989  Cost-effective design of application specific VLIW processors using the SCARCE framework   H. Mulder, R. J. Portier
micro22  1989  "Combining" as a compilation technique for VLIW architectures   T. Nakatani, K. Ebcioğlu
micro22  1989  Microprogramming instruction systolic arrays   P. M. Lenders, H. Schröder, P. Strazdins
micro22  1989  Design methodology and microdiagnostics development for a self-checking microprocessor   R. A. Parekhji, N. K. Nanda
micro22  1989  Extended microcode error checking on a pipelined machine   L. A. Kovacs, S. F. Gilli
micro22  1989  On inherent untestability of unaugmented microprogrammed control   Y. K. Malaiya
micro22  1989  Abstract computing machines   G. R. Sechi
micro22  1989  Peephole optimization as a targeting and coupling tool   V. H. Allan
micro22  1989  Information structures in language directed architectures   R. Katti, L. Manwaring
micro22  1989  DOAS: an object oriented architecture supporting secure languages   A. J. van de Goor, H. Corporaal
micro22  1989  A loop optimization technique based on scheduling table   D. Liu, W. K. Giloi
micro22  1989  On optimal loop parallelization   F. Gasperoni, U. Schwiegelshohn, K. Ebcioğlu
micro22  1989  A microprogramming teaching environment using the Macintosh computer   E. Sanchez
micro22  1989  A VLSI based microprogramming evaluation system to support an instructional laboratory   A. Parker, J. O. Hamblen
micro22  1989  Definition of elementary arithmetic operations by using ACM   S. D'Angelo, G. R. Sechi
micro22  1989  Incremental foresighted local compaction   P. Wijaya, V. H. Allan
micro22  1989  All example of using pseudofields to eliminate version shuffling in horizontal code compaction   J. L. Linn, C. D. Ardoin
micro22  1989  Multiple operation memory structures   M. C. Ertem
micro22  1989  Forward semantic: a compiler-assisted instruction fetch method for heavily pipelined processors   P.-H. Chang, W.-M. W. Hwu
micro22  1989  On reordering instruction streams for pipelined computers   J.-J. Shieh, C. Papachristou
micro22  1989  A functional model of clocked microarchitectures   C. c. Charlton, D. Jackson, P. H. Leng
micro22  1989  Microarchitecture choices (implementation of the VAX)   Y. M. Patt
micro22  1989  MIES: a microarchitecture design tool   J. A. Nestor, B. Soudan, Z. Mayet
micro22  1989  A flexible VLSI core for an adaptable architecture   H. Mulder, P. Stravers
micro22  1989  A model for microarchitecture structure evaluation   E. S. T. Fernandes
micro22  1989  ASIC microprocessors   M. J. Flynn, R. I. Winner
micro22  1989  A computing unit for FFP function evaluation in support of correctness proofs   M. Alderighi, G. R. Sechi, R. Vaccaro, L. Verdoscia
micro23  1990  Instruction sets and their implementations   Michael J. Flynn
micro23  1990  VLIW-in-the-large: a model for fine grain parallelism exploitation on distributed memory multiprocessors   Marco Danelutto, Marco Vanneschi
micro23  1990  A software pipelining based VLIW architecture and optimizing compiler   Bogong Su, Jian Wang, Zhizhong Tang, Wei Zhao, Yimin Wu
micro23  1990  A fine-grained MIMD architecture based upon register channels   Rajiv Gupta
micro23  1990  Hardware implementation of a general multi-way jump mechanism   Soo-Mook Moon, Scott D. Carson, Ashok K. Agrawala
micro23  1990  Software pipelining: a comparison and improvement   Reese B. Jones, Vicki H. Allan
micro23  1990  Using a lookahead window in a compaction-based parallelizing compiler   Toshio Nakatani, Kemal Ebcioğlu
micro23  1990  Realistic scheduling: compaction for pipelined architectures   Alexandru Nicolau, Roni Potasman
micro23  1990  An evaluation system for application specific architectures   Alessandro De Gloria, Paolo Faraboschi
micro23  1990  A framework for high-speed controller design   J. M. Mulder, R. J. Portier, A. Srivastava
micro23  1990  High-level microprogramming: an optimizing C compiler for a processing element of a CAD accelerator   Paul Kenyon, Prathima Agrawal, Sharad Seth
micro23  1990  Post-compaction register assignment in a retargetable compiler   Philip Sweany, Steven Beaty
micro23  1990  Motivation and framework for using genetic algorithms for microcode compaction   Steven Beaty, Darrell Whitley, Gearold Johnson
micro23  1990  Ideograph/Ideogram: framework/hardware for eager evaluation   S. ShouHan Wang, Augustus K. Uht
micro23  1990  An instruction reoderer for pipelined computers   Jong-Jiann Shieh, Christos A. Papachristou
micro23  1990  Optimization on instruction reorganization   Feipei Lai, Hung-Chang Lee, Chun-Luh Lee
micro23  1990  Automatic synthesis of a dual-PLA controller with a counter   David Binger, David W. Knapp
micro23  1990  Interconnection synthesis with geometric constraints   Forrest Brewer, Barry Pangrle, Andrew Seawright
micro23  1990  An application of L systems to local microcode synthesis   F. Mavaddat, M. Mahmood, M. H. M. Cheng
micro23  1990  SYMCAD: synthesis of microprogrammed control for automated VLSI design   B. L. Priyadarshan, M. Balakrishnan, Anshul Kumar, G. S. Visweswaran
micro23  1990  The selection of optimal cache lines for microprocessor-based controllers   Tsang-Ling Sheu, Yuan-Bao Shieh, Woei Lin
micro23  1990  Address compression through base register caching   Arvin Park, Matthew Farrens
micro23  1990  A memory management unit and cache controller for the MARS system   Feipei Lai, Chyuan-Yow Wu, Tai-Ming Parng
micro23  1990  An evaluation of functional unit lengths for single-chip processors   Matthew K. Farrens, Andrew R. Pleszkun
micro23  1990  A multiple floating point coprocessor architecture   Lawrence Rauchwerger, P. Michael Farmwald
micro23  1990  A barrel shift microsystem for parallel processing   Reuven Bakalash, Zhong Xu
micro23  1990  PRISM architecture: parallel and pipeline features   Beverly Gocal
micro23  1990  Topologies for the parallel backtracking Prolog engine   L. Campanale, M. De Blasi, A. Gentile, F. Greco
micro23  1990  A high-level microprogrammed processor   Christian Iseli, Eduardo Sanchez
micro23  1990  SMDSS—a structured microcode development and simulation system   Djahida Smati, Jerry Hwang, C. Papachristou
micro23  1990  On the testing of microprogrammed processor   S. Hwang, R. Rajsuman, Y. K. Malaiya
micro23  1990  A weighted technique for programmable logic devices minimization   C. Hwa Chang, Hammad K. Azzam
micro23  1990  Microprogramming heritage of RISC design   Liwen Shih
micro23  1990  A survey on bit dimension optimization strategies of microprograms   Sunil R. Das, Amiya R. Nayak
micro23  1990  A model of a microprogrammed functional-oriented computing unit   Monica Alderighi, Giacomo R. Sechi
micro24  1991  An instruction-level performance analysis of the Multiflow TRACE 14/300   Michael A. Schuette, John P. Shen
micro24  1991  A quantitative analysis of locality in dataflow programs   William Marcus Miller, Walid A. Najjar, A. P. Wim Böhm
micro24  1991  An analysis of the information content of address reference streams   Jeffrey C. Becker, Arvin Park, Matthew Farrens
micro24  1991  Comparing static and dynamic code scheduling for multiple-instruction-issue processors   Pohua P. Chang, William Y. Chen, Scott A. Mahlke, Wen-mei W. Hwu
micro24  1991  The effect of real data cache behavior on the performance of a microarchitecture that supports dynamic scheduling   Michael Butler, Yale Patt
micro24  1991  Strategies for branch target buffers   Brian K. Bray, M. J. Flynn
micro24  1991  Two-level adaptive training branch prediction   Tse-Yu Yeh, Yale N. Patt
micro24  1991  Workload and implementation considerations for dynamic base register caching   Matthew Farrens, Arvin Park
micro24  1991  Data access microarchitectures for superscalar processors with compiler-assisted data prefetching   William Y. Chen, Scott A. Mahlke, Pohua P. Chang, Wen-mei W. Hwu
micro24  1991  Software pipelining for transport-triggered architectures   Jan Hoogerbrugge, Henk Corporaal, Hans Mulder
micro24  1991  Software pipelining: an evaluation of enhanced pipelining   Reese B. Jones, Vicki H. Allan
micro24  1991  Efficient DAG construction and heuristic calculation for instruction scheduling   Mark Smotherman, Sanjay Krishnamurthy, P. S. Aravind, David Hunnicutt
micro24  1991  Code duplication: an assist for global instruction scheduling   David Bernstein, Doron Cohen, Hugo Krawczyk
micro24  1991  Implementation optimization techniques for architecture synthesis of application-specific processors   Mauricio Breternitz, Jr., John Paul Shen
micro24  1991  ALPS: an algorithm for pipeline data path synthesis   Ramesh Karri, Alex Orailoğlu
micro24  1991  Increasing user interaction during high-level synthesis   Robert A. Walker, Shivkumar Ramabadran, Rajive Joshi, Steinar Flatland
micro24  1991  GRIP: graphics reduced instruction processor   Gautam B. Singh
micro24  1991  Viewing instruction set design as an optimization problem   Bruce K. Holmer, Alvin M. Despain
micro24  1991  DISC: dynamic instruction stream computer   Mario Daniel Nemirovsky, Forrest Brewer, Roger C. Wood
micro24  1991  A new technique for induction variable removal   Haigeng Wang, Alexandru Nicolau, Roni Potasman
micro24  1991  Architecture and programming of a VLIW style programmable video signal processor   G. Essink, E. Aarts, R. van Dongen, P. van Gerwen, J. Korst, K. Vissers
micro24  1991  On reconfigurable on-chip data caches   Fredrik Dahlgren, Per Stenström
micro24  1991  Executing loops on a fine-grained MIMD architecture   Sunah Lee, Rajiv Gupta
micro24  1991  Genetic algorithms and instruction scheduling   Steven J. Beaty
micro24  1991  GURPR*: a new global software pipelining algorithm   Bogong Su, Jian Wang
micro24  1991  Register/ file/ cache microarchitecture study using VHDL   Samarina Makhdoom, Daniel Tabak, Richard Auletta
micro25  1992  An investigation of the performance of various dynamic scheduling techniques   Michael Butler, Yale Patt
micro25  1992  On the limits of program parallelism and its smoothability   Kevin B. Theobald, Guang R. Gao, Laurie J. Hendren
micro25  1992  On the instruction-level characteristics of scalar code in highly-vectorized scientific applications   Sriram Vajapeyam, Wei-Chung Hsu
micro25  1992  Exploiting instruction-level parallelism with the conjugate register file scheme   Meng-chou Chang, Feipei Lai, Rung-ji Shang
micro25  1992  Limitation of superscalar microprocessor performance   Thang Tran, Chuan-lin Wu
micro25  1992  Branch merging for effective exploitation of instruction-level parallelism   Chien-Ming Chen, Yuun-Yen Chen, Chung-Ta King
micro25  1992  A non-deterministic scheduler for a software pipelining compiler   Alessandro De Gloria, Paolo Faraboschi, Mauro Olivieri
micro25  1992  Effective compiler support for predicated execution using the hyperblock   Scott A. Mahlke, David C. Lin, William Y. Chen, Richard E. Hank, Roger A. Bringmann
micro25  1992  An efficient resource-constrained global scheduling technique for superscalar and VLIW processors   Soo-Mook Moon, Kemal Ebcioğlu
micro25  1992  Enhanced region scheduling on a program dependence graph   V. H. Allen, J. Janardhan, R. M. Lee, M. Srinivas
micro25  1992  Executing compressed programs on an embedded RISC architecture   Andrew Wolfe, Alex Chanin
micro25  1992  An efficient architecture for loop based data preloading   William Y. Chen, Roger A. Bringmann, Scott A. Mahlke, Richard E. Hank, James E. Sicolo
micro25  1992  Stride directed prefetching in scalar processors   John W. C. Fu, Janak H. Patel, Bob L. Janssens
micro25  1992  Controlling and sequencing a heavily pipelined floating-point operator   André Seznec, Karl Courtel
micro25  1992  Data path issues in a highly concurrent machine   Augustus K. Uht, Darin B. Johnson
micro25  1992  A VLIW architecture for optimal execution of branch-intensive loops   Bogong Su, Wei Zhao, Zhizhong Tang, Stanley Habib
micro25  1992  Y-Pipe: a conditional branching scheme without pipeline delays   Michael J. Knieser, Christos A. Papachristou
micro25  1992  A comprehensive instruction fetch mechanism for a processor supporting speculative execution   Tse-Yu Yeh, Yale N. Patt
micro25  1992  Microarchitecture support for dynamic scheduling of acyclic task graphs   Carl J. Beckmann, Constantine D. Polychronopoulos
micro25  1992  Interlock collapsing ALU for increased instruction-level parallelism   Nadeem Malik, Richard J. Eickemeyer, Stamatis Vassiliadis
micro25  1992  Code generation schema for modulo scheduled loops   B. Ramakrishna Rau, Michael S. Schlansker, P. P. Tirumalai
micro25  1992  Enhanced modulo scheduling for loops with conditional branches   Nancy J. Warter, Grant E. Haab, Krishna Subramanian, John W. Bockhaus
micro25  1992  A dynamic-programming technique for compacting loops   Stephen R. Vegdahl
micro25  1992  Exploiting instruction-level parallelism: the multithreaded approach   Philip Lenir, R. Govindarajan, S. S. Nemawarkar
micro25  1992  MISC: a Multiple Instruction Stream Computer   Gary Tyson, Matthew Farrens, Andrew R. Pleszkun
micro25  1992  Code scheduling for VLIW/superscalar processors with limited register files   Tokuzo Kiyohara, John C. Gyllenhaal
micro25  1992  Tradeoffs in processor/memory interfaces for superscalar processors   Thomas M. Conte
micro25  1992  Translation hint buffers to reduce access time of physically-addressed instruction caches   Brian K. Bray, Michael J. Flynn
micro25  1992  Modifying VM hardware to reduce address pin requirements   Matthew Farrens, Arvin Park, Gary Tyson
micro25  1992  Toward zero-cost branches using instruction registers   Kent D. Wilken, David W. Goodwin
micro25  1992  Ordering functions for improving memory reference locality in a shared memory multiprocessor system   Youfeng Wu
micro25  1992  The effect of page allocation on caches   William L. Lynch, Brian K. Bray, M. J. Flynn
micro25  1992  Performance evaluation of instruction scheduling on the IBM RISC System/6000   David Bernstein, Doron Cohen, Yuval Lavon, Vladimir Rainish
micro25  1992  Register traffic analysis for streamlining inter-operation communication in fine-grain parallel processors   Manoj Franklin, Gurindar S. Sohi
micro25  1992  Performance analysis and design methodology for a scalable superscalar architecture   Takaaki Kato, Toshihisa Ono, Nader Bagherzadeh
micro25  1992  Lookahead scheduling   Steven J. Beaty
micro25  1992  Dominator-path scheduling: a global scheduling method   Philip H. Sweany, Steven J. Beaty
micro25  1992  A shape matching approach for scheduling fine-grained parallelism   Brian Malloy, Rajiv Gupta, Mary Lou Soffa
micro25  1992  A new approach to schedule operations across nested-ifs and nested-loops   Shih-Hsu Huang, Cheng-Tsung Hwang, Yu-Chin Hsu, Yen-Jen Oyang
micro25  1992  An out-of-order superscalar processor with speculative execution and fast, precise interrupts   Harry Dwyer, H. C. Torng
micro25  1992  StaCS: a Static Control Superscalar architecture   Benoît Dupont de Dinechin
micro25  1992  Partitioned register files for VLIWs: a preliminary analysis of tradeoffs   Andrea Capitanio, Nikil Dutt, Alexandru Nicolau